Preprint Article Version 1 Preserved in Portico This version is not peer-reviewed

Power and Obstacle Aware 3D Clock Tree Synthesis

Version 1 : Received: 6 May 2017 / Approved: 8 May 2017 / Online: 8 May 2017 (09:36:47 CEST)

How to cite: Chandrakar, L.; S., R.; Kittur, H.M. Power and Obstacle Aware 3D Clock Tree Synthesis. Preprints 2017, 2017050056. https://doi.org/10.20944/preprints201705.0056.v1 Chandrakar, L.; S., R.; Kittur, H.M. Power and Obstacle Aware 3D Clock Tree Synthesis. Preprints 2017, 2017050056. https://doi.org/10.20944/preprints201705.0056.v1

Abstract

Clock Network Design (CDN) is a critical step while designing any Integrated-Circuits (ICs). It holds vital importance in the performance of entire circuit. Due to continuous scaling, 3D ICs stacked with TSV are gaining importance, with an objective to continue with the Moore's law. Through-Silicon-Via (TSV) provides the vertical interconnection between two die, which allows the electrical signal to flow through it. 3D ICs has many advantages over conventional 2D planar ICs like reduced power, area, cost, wire-length etc. The proposed work is mainly focused on power reduction and obstacle avoidance for 3D ICs. Various techniques have already been introduced for minimizing clock power within specified clock constraints of the 3D CND network. Proposed 3D Clock Tree Synthesis (CTS) is a combination of various algorithms with an objective to meet reduction in power as well as avoidance of obstacle or blockages while routing the clock signal from one sink to other sink. These blockages like RAM, ROM, PLL etc. are fixed during the placement process. The work is carried out mainly in three steps- first is Generation of 3D Clock tree avoiding the blockages, then Buffering and Embedding and finally validating the results by SPICE simulation. The experimental result shows that our CTS approach results in significant 9% reduction in power as compare to the existing work.

Keywords

Clock Tree Synthesis (CTS); Clock Network Design (CND); Integrated-Circuits (ICs); 3D ICs; Through-Silicon-Via (TSV); obstacles; mmm-algorithm; exact-zero skew algorithm; obstacle aware algorithm; power; wire-length; skew; slew; delay

Subject

Engineering, Electrical and Electronic Engineering

Comments (0)

We encourage comments and feedback from a broad range of readers. See criteria for comments and our Diversity statement.

Leave a public comment
Send a private comment to the author(s)
* All users must log in before leaving a comment
Views 0
Downloads 0
Comments 0
Metrics 0


×
Alerts
Notify me about updates to this article or when a peer-reviewed version is published.
We use cookies on our website to ensure you get the best experience.
Read more about our cookies here.