Submitted:
27 March 2025
Posted:
27 March 2025
You are already at the latest version
Abstract

Keywords:
1. Introduction
2. Placement
2.1. A Summary of Traditional Automatic Approaches
2.2. M/DL Advances: Neural Network-Based
2.3. M/DL Advances: Reinforcement Learning-Based
3. Routing
3.1. A Summary of Traditional Automatic Approaches
3.2. M/DL Advances
4. Post-Layout Performance Prediction
4.1. Traditional Performance-Driven Layout Generation Tools
4.2. Direct Transfer Learning from Pre to Post-Layout
4.3. M/DL Advances: Classification-Based Approaches
4.3. M/DL Advances: Regression-Based Approaches

| Tool | Year | Key Specification | Tech. | Base Code |
|---|---|---|---|---|
| BagNet [76] | 2019 | ANN model acting as an oracle to select the most promising solution | 45nm | n/s |
| Liu [77] | 2020 | Net weights used as layout-related data and Bayesian optimization applied to optimize them | 40nm | C++ & Python |
| Chang [75] | 2023 | CNN used to classify floorplan solutions as “good”/“bad” | n/s | n/s |
| Wang [72] | 2024 | Transfer learning from pre- to post-layout within an evolutionary algorithm-based synthesis | 130-180nm | n/s |
| Li [73] | 2024 | Transfer learning from pre- to post-layout within RL-based synthesis | 130-180nm | Python |
| Ponderous [78] | 2024 | Post-placement performance regression pipeline using (x, y) placement coordinates | 65nm | Java & Python |
| Almeida [79] | 2025 | Convolutional VAEs and an ensemble of MLPs to estimate post-placement performance | 65nm | Python |
4. Discussion
Funding
Conflicts of Interest
Abbreviations
| AI | Artificial Intelligence |
| ANN | Artificial Neural Network |
| B*-Tree | Binary Tree |
| BO | Bayesian Optimization |
| CAD | Computer-Aided Design |
| CNN | Convolutional Neural Network |
| DL | Deep Learning |
| EBL | Electron-Beam Lithography |
| EDA | Electronic Design Automation |
| GAN | Generative Adversarial Network |
| IC | Integrated Circuit |
| LDE | Layout-Dependent Effect |
| MDP | Markov Decision Process |
| M/DL | Machine and Deep Learning |
| MLP | Multi-Layer Perceptron |
| O-tree | Ordered Tree |
| PDK | Process Design Kit |
| R-GCN | Relational Graph Convolutional Neural Network |
| RL | Reinforcement Learning |
| SA | Simulated Annealing |
| SoC | System-on-a-Chip |
| SP | Sequence Pair |
| TCG | Transitive Closure Graph |
| VAE | Variational Autoencoder |
References
- Fayazi, M.; Colter, Z.; Afshari, E.; Dreslinski, R. Applications of Artificial Intelligence on the Modeling and Optimization for Analog and Mixed-Signal Circuits: A Review. IEEE TCAS-I 2021, 68, 6, 2418-2431. [CrossRef]
- Mina, R.; Jabbour, C.; Sakr, G. A Review of Machine Learning Techniques in Analog Integrated Circuit Design Automation. Electronics 2022, 11, 3, 435. [CrossRef]
- Maji, S.; Budak, A. F.; Poddar, S.; Pan, D. Z. Toward End-to-End Analog Design Automation with ML and Data-Driven Approaches (Invited Paper). 29th Asia and South Pacific Design Automation Conference (ASP-DAC), Incheon, Republic of Korea, Jan. 2024. [CrossRef]
- Wang, C.; Yang, F.; Zhu, K. AI-Enabled Layout Automation for Analog and RF IC: Current Status and Future Directions. IEEE International Symposium on Radio-Frequency Integration Technology (RFIT), Chengdu, China, Aug. 2024. [CrossRef]
- Graeb, H. (Editor). Analog Layout Synthesis: A Survey of Topological Approaches, Springer: New York, NY, 2011. [CrossRef]
- Lin, P.-H.; Chang, Y.-W.; Hung, C.-M. Recent research development and new challenges in analog layout synthesis. Asia and South Pacific Design Automation Conference (ASP-DAC), Macao, China, Jan. 2016. [CrossRef]
- Zhang, L.; Raut, R.; Jiang, Y.; Kleine, U. Placement Algorithm in Analog-Layout Designs. IEEE Trans. Comput. -Aided Des. Integr. Circuits Syst. 2006, 25, 1889–1903. [CrossRef]
- Martins, R.; Póvoa, R.; Lourenço, N.; Horta, N. Current-flow and current-density-aware multi-objective optimization of analog IC placement, Integration, the VLSI 2016, 55, 295-306. [CrossRef]
- Martins, R.; Lourenço, N.; Póvoa, R.; Horta, N. Shortening the Gap between Pre- and Post-Layout Analog IC Performance by Reducing the LDE-induced Variations with Multi-Objective Simulated Quantum Annealing. Engineering Applications of Artificial Intelligence 2021, 98, 104102. [CrossRef]
- Pang, Y.; Balasa, F.; Lampaert, K.; Cheng, C.-K. Block placement with symmetry constraints based on the o-tree nonslicing representation. Proceedings ACM/IEEE Design Automation Conference, Los Angeles, USA, June 2000.
- Balasa, F.; Maruvada, S.; Krishnamoorthy, K. Efficient solution space exploration based on segment trees in analog placement with symmetry constraints. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose, USA, Nov. 2002. [CrossRef]
- Balasa, F.; Maruvada, S. C.; Krishnamoorthy, K. Using Red-Black Interval Trees in Device-Level Analog Placement with Symmetry Constraints. Proceedings of the Asian and South Pacific – Design Automation Conference (ASP-DAC), Kitakyushu, Japan, Jan. 2003. [CrossRef]
- Maruvada, S.; Berkman, A.; Krishnamoorthy, K.; Balasa, F. Deterministic skip lists in analog topological placement. Proc. 6th International Conference On ASIC (ASICON), Shanghai, China, Oct. 2005. [CrossRef]
- Zhang, L.; Shi, C.-J.; Jiang, Y. Symmetry-aware placement with transitive closure graphs for analog layout design. Proc. IEEE/ACM Asia South Pacific Des. Autom. Conf., Seoul, Korea, Mar. 2008. [CrossRef]
- Lin, J.-M.; Wu, G.-M.; Chang, Y.-W.; Chuang, J.-H. Placement with symmetry constraints for analog layout design using TCG-S. Proc. IEEE/ACM Asia South Pacific Des. Autom. Conf., Shanghai, China, Jan. 2005. [CrossRef]
- Lin, P.H.; Chang, Y.W.; Lin, S.C. Analog Placement Based on Symmetry-Island Formulation. IEEE Trans. Comput. Des. Integr. Circuits Syst. 2009, 28, 791–804. [CrossRef]
- Wu, I.P.; Ou, H.C.; Chang, Y.W. QB-Trees: Towards an Optimal Topological Representation and Its Applications to Analog Layout Designs. In Proceedings of the Design Automation Conference, Austin, TX, USA, 5–9 June 2016. [CrossRef]
- Balasa, F.; Lampaert, K. Symmetry within the sequence-pair representation in the context of placement for analog design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 2000, 19, 7, 721-731. [CrossRef]
- Koda, S.; Kodama, C.; Fujiyoshi, K. Linear programming-based cell placement with symmetry constraints for analog IC layout. IEEE Transactions on Computer-Aided Design Integrated Circuits and Systems 2007, 26, 4, 659–668. [CrossRef]
- Tam, Y.-C.; Young, Y.; Chu, C. Analog placement with symmetry and other placement constraints. in Proc. IEEE/ACM Int. Conf. Comput.-Aided Des., San Jose, USA, Nov. 2006. [CrossRef]
- Krishnamoorthy, K.; Maruvada, S.; Balasa, F. Topological placement with multiple symmetry groups of devices for analog layout design. IEEE International Symposium on Circuits and Systems (ISCAS), New Orleans, USA, May 2007. [CrossRef]
- Patyal, A.; Pan, P.-C.; Asha, K.A.; Chen, H.-M.; Chi, H.-Y.; Liu, C.-N. Analog placement with current flow and symmetry constraints using pcp-sp. In Proceedings of the ACM/ESDA/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, 24–28 June 2018. [CrossRef]
- Jangkrajarng, N.; Bhattacharya, S.; Hartono, R.; Shi, R. IPRAIL—Intellectual property reuse-based analog IC layout automation. Integr. VSLI 2003, 36, 237–262. [CrossRef]
- Pan, P.C.; Chin, C.Y.; Chen, H.M.; Chen, T.C.; Lee, C.C.; Lin, J.C. A Fast Prototyping Framework for Analog Layout Migration with Planar Preservation. IEEE Trans. Comput. Des. Integr. Circuits Syst. 2015, 34, 1373–1386. [CrossRef]
- Martins, R.; Lourenço, N.; Horta, N. LAYGEN II: Automatic analog ICs layout generator based on a template approach. Proceedings of the 14th annual conference on Genetic and evolutionary, Philadelphia, USA, July 2012. [CrossRef]
- Wu, P.H.; Lin, M.P.H.; Chen, T.C.; Yeh, C.F.; Li, X.; Ho, T.Y. A Novel Analog Physical Synthesis Methodology Integrating Existent Design Expertise. IEEE Trans. Comput. Des. Integr. Circuits Syst. 2015, 34, 199–212. [CrossRef]
- He, R.; Zhang, L. Artificial neural network application in analog layout placement design. Canadian Conference on Electrical and Computer Engineering, St. John’s, Canada, June 2009. [CrossRef]
- Guerra, D.; Canelas, A.; Póvoa, R.; Horta, N.; Lourenço, N.; Martins, R. Artificial Neural Networks as an Alternative for Automatic Analog IC Placement. SMACD 2019 - 16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, Lausanne, Switzerland, July 2019. [CrossRef]
- Gusmao, A.; Passos, F.; Povoa, R.; Horta, N.; Lourenco, N.; Martins, R. Semi-Supervised Artificial Neural Networks towards Analog IC Placement Recommender. 2020 IEEE International Symposium on Circuits and Systems (ISCAS), Seville, Spain, October 2020. [CrossRef]
- Gusmão, A.; Póvoa, R.; Horta, N.; Lourenço, N.; Martins, R. DeepPlacer: A Custom Integrated OpAmp Placement Tool Using Deep Models. Appl. Soft Comput. 2022, 115, 108188. [CrossRef]
- Gusmão, A.; Horta, N.; Lourenço, N.; Martins, R. Scalable and Order Invariant Analog Integrated Circuit Placement with Attention-Based Graph-to-Sequence Deep Models. Expert Syst. Appl. 2022, 207, 117954. [CrossRef]
- Xu, B.; Lin, Y.; Tang, X.; Li, S.; Shen, L.; Sun, N.; Pan, D. Z. WellGAN: Generative-adversarial-network-guided well generation for analog/mixed-signal circuit layout. 2019 56th ACM/IEEE Design Automation Conference (DAC), Las Vegas, USA, June 2019.
- Zhu, K.; Chen, H.; Liu, M.; Tang, X.; Shi, W.; Sun, N.; Pan, D. Z. Generative-adversarial-network-guided well-aware placement for analog circuits. in 27th Asia and South Pacific Design Automation Conference (ASP-DAC), Taipei, Taiwan, Jan. 2022. [CrossRef]
- Ahmadi, M.; Zhang, L. Analog Layout Placement for FinFET Technology Using Reinforcement Learning. IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Korea, May 2021. [CrossRef]
- Basso, D.; Bortolussi, L.; Videnovic-Misic, M.; Habal, H. Fast ML Driven Analog Circuit Layout using Reinforcement Learning and Steiner Trees. 20th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Volos, Greece, 2024. [CrossRef]
- Basso, D.; Bortolussi, L.; Videnovic-Misic, M.; Habal, H. Effective Analog ICs Floorplanning with Relational Graph Neural Networks and Reinforcement Learning. Design, Automation and Test in Europe Conference, Lyon, France, March 2025.
- Hajijafari, M.; Ahmadi, M.; Zhao, Z.; Zhang, L. Fogging-effect-aware mixed-signal IC placement with reinforcement learning. Proc. IEEE International Symposium on Circuits and Systems (ISCAS), Austin, USA, May 2022. [CrossRef]
- Sadrafshari, M.; Dobre, O.; Zhang, L. Reinforcement-Learning-Based Foggy-Aware Optimal Placement Method for Analog and Mixed-Signal Circuits. IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, May 2024. [CrossRef]
- Martins, R.; Lourenço, N. Analog Integrated Circuit Routing Techniques: An Extensive Review. IEEE Access 2023, 11, 35965-35983. [CrossRef]
- Owen, B.; Duncan, R.; Jantzi, S.; Ouslis, C.; Rezania, S.; Martin, K. BALLISTIC: An analog layout language. Proceedings of the IEEE 1995 Custom Integrated Circuits Conference, Santa Clara, CA, USA, Aug. 1995. [CrossRef]
- Sampath, H.; Vemuri, R. MSL: A High-Level Language for Parameterized Analog and Mixed-Signal Layout Generators. Proc. Of IFIP International Conf. on VLSI, Jan. 2003.
- E. Chang, et. al. Bag2: A process-portable framework for generator-based ams circuit design. IEEE Custom Integrated Circuits Conference (CICC), San Diego, USA, April 2018. [CrossRef]
- Han, J.; Bae, W.; Chang, E.; Wang, Z.; Nikolić, B.; Alon, E. LAYGO: A Template-and-Grid-Based Layout Generation Engine for Advanced CMOS Technologies. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) 2021, 68, 3, 1012-1022. [CrossRef]
- Bhattacharya, S.; Jangkrajarng, N.; Hartono, R.; Shi, R. Correct by-construction layout-centric retargeting of large analog designs. Proceedings 41st Design Automation Conference, San Diego, USA, July 2004.
- Lourenço, N.; Vianello, M.; Guilherme, J.; Horta, N. LAYGEN - Automatic Layout Generation of Analog ICs from Hierarchical Template Descriptions. Ph.D. Research in Microelectronics and Elec., Otranto, Italy, June 2006. [CrossRef]
- Unutulmaz, A.; Dundar, G.; Fernandez, F. A template router. European Conference on Circuit Theory and Design (ECCTD), Sweden, Aug. 2011. [CrossRef]
- Rijmenants, J.; Litsios, J.; Schwarz, T.; Degrauwe, M. ILAC: An automated layout tool for analog CMOS circuits. IEEE Journal of Solid-State Circuits 1989, 24, 12, 417–425. [CrossRef]
- Zhang, L.; Kleine, U.; Jiang, Y. An Automated Design Tool for Analog Layouts. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2006, 14, 8, 881–894. [CrossRef]
- Yilmaz, Y.; Dundar, G. Analog Layout Generator for CMOS Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2009, 28, 1, 32–45. [CrossRef]
- Malavasi, E.; Sangiovanni-Vincentelli, A. Area routing for analog layout. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 1993, 12, 8, 1186-1197. [CrossRef]
- Rijmenants, J.; Litsios, J.; Schwarz, T.; Degrauwe, M. ILAC: An automated layout tool for analog CMOS circuits. IEEE Journal of Solid-State Circuits 1989, 24, 12, 417–425. [CrossRef]
- Cohn, J.; Garrod, J.; Rutenbar, R.; Carley, L. KOAN/ANAGRAM II: New Tools for Device-Level Analog Placement and Routing. IEEE Journal of Solid-State Circuits 1991, 26, 3, 330-342. [CrossRef]
- Chen H. et al. MAGICAL: An Open- Source Fully Automated Analog IC Layout System from Netlist to GDSII. IEEE Design & Test 2021, 38, 2, 19-29. [CrossRef]
- Ou, H.-C.; Chien, H.-C.; Chang, Y.-W. Non-uniform multilevel analog routing with matching constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2014, 33, 12, 1942–1954. [CrossRef]
- Martins, R.; Lourenco, N.; Horta, N. Routing analog ICs using a multiobjective multi-constraint evolutionary approach. Analog Integrated Circuits and Signal Processing 2014, 78, 1, 123-135. [CrossRef]
- Martins, R.; Lourenço, N.; Canelas, A.; Horta, N. Electromigration-aware analog Router with multilayer multi-port terminal structures. Integration, the VLSI 2014, 47, 4, 532-547. [CrossRef]
- Martins, R.; Lourenço, N.; Canelas, A.; Horta, N. Electromigration-aware and IR-drop avoidance routing in analog multiport terminal structures. Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, March 2014. [CrossRef]
- Zhu, K. et al. Geniusroute: a new analog routing paradigm using generative neural network guidance. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Westminster, USA, Nov. 2019. [CrossRef]
- Peneda, D.; Azevedo, F.; Lourenço, N.; Horta, N.; Martins, R. Effective Routing Probability Maps via Convolutional Neural Networks for Analog IC Layout Automation. 20th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Volos, Greece, July 2024. [CrossRef]
- Liao, H.; et al. Attention Routing: track assignment detailed routing using attention-based reinforcement learning. arXiv:2004.09473, 2020.
- Liao, H.; Dong, Q.; Qi, W.; Fallon, E.; Kara, L. Track-Assignment Detailed Routing Using Attention based Policy Model With Supervision. ACM/IEEE 2nd Workshop on Machine Learning for CAD (MLCAD), Reykjavik, Iceland, Nov. 2020. [CrossRef]
- Chen, H.; Hsu, K.-C.; Turner, W. J.; Wei, P.-H.; Zhu, K.; Pan, D. Z.; Ren, H. Reinforcement learning guided detailed routing for custom circuits. Proceedings of the International Symposium on Physical Design, New York, USA, March 2023. [CrossRef]
- Yeh, Y.-H. et al. DPRoute: Deep Learning Framework for Package Routing. 28th Asia and South Pacific Design Automation Conference, Tokyo, Japan, Jan. 2023.
- Xu, P.; Li, J.; Ho, T.-Y.; Yu, B.; Zhu, K. Performance-Driven Analog Layout Automation: Current Status and Future Directions (Invited Paper). 29th Asia and South Pacific Design Automation Conference (ASP-DAC), Incheon, Korea, Republic of, 2024. https://10.1109/ASP-DAC58780.2024.10473859.
- Choudhury, U.; Sangiovanni-Vincentelli, A. Automatic generation of parasitic constraints for performance-constrained physical design of analog circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 1993, 12, 2, 208-224. [CrossRef]
- Gielen, G.; Lampaert, K. Direct Performance-Driven Placement of Mismatch-sensitive Analog Circuits. 32nd Design Automation Conference, San Francisco, USA, June 1995. [CrossRef]
- Lampaert, K.; Gielen, G.; Sansen, W. A performance-driven placement tool for analog integrated circuits. IEEE Journal of Solid-State Circuits 1995, 30, 7, 773–780. [CrossRef]
- Li, Y.; Lin. Y.; Madhusudan, M.; Sharma, A.; Xu, W.; Sapatnekar, S.; Harjani, R.; Hu, J. Exploring a Machine Learning Approach to Performance Driven Analog IC Placement. IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Limassol, Cyprus, July 2020. [CrossRef]
- Pan, P.-C.; Huang, H.-W.; Huang, C.-C.; Patyal, A.; Chen, H.-M.; Yang, T.-Y. On Closing the Gap Between Pre-simulation and Post-simulation Results in Nanometer Analog Layouts. 15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Prague, Czech Republic, July 2018. [CrossRef]
- Wang, Z.; et al. Building Post-layout Performance Model of Analog/RF Circuits by Fine-tuning Technique. 23rd International Symposium on Quality Electronic Design (ISQED), Santa Clara, USA, June 2022. [CrossRef]
- Wang, Z.; et al. Building a post-layout simulation performance model with global mapping model fusion technique," in Tsinghua Science and Technology 2022, 27, 3, 512-525. [CrossRef]
- Wang, Z.; Ye, Z.; Zhou, J.; Liu, X.; Wang, Y. A Two-step Fine-tuning Assisted Layout Sizing Scheme for Analog/RF Circuits. IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, Singapore, May 2024. [CrossRef]
- Li, Z.; Carusone, A. An Open-Source AMS Circuit Optimization Framework Based on Reinforcement Learning—From Specifications to Layouts. IEEE Access 2024, 12, 150032-150045. [CrossRef]
- Liu, M.; Zhu, K.; Gu, J.; Shen, L.; Tang, X.; Sun, N.; Pan, Z. Towards Decrypting the Art of Analog Layout: Placement Quality Prediction via Transfer Learning. Design Automation and Test in Europe Conference, Grenoble, France, March 2020. [CrossRef]
- Chang, C.-C.; Pan, J.; Xie, Z.; Li, Y.; Lin, Y.; Hu, J.; Chen, Y. Fully Automated Machine Learning Model Development for Analog Placement Quality Prediction. Asia and South Pacific Design Automation Conference (ASP-DAC), Tokyo, Japan, Jan. 2023.
- K. Hakhamaneshi, K.; Werblun, N.; Abbeel, P.; Stojanovic, V. BagNet: Berkeley Analog Generator with Layout Optimizer Boosted with Deep Neural Networks. International Conference on Computer-Aided Design, Westminster, USA, Nov. 2019. [CrossRef]
- Liu, M.; Zhu, K.; Tang, X.; Xu, B.; Shi, W.; Sun, N.; Pan, D. Z. Closing the design loop: Bayesian optimization assisted hierarchical analog layout synthesis. 57th ACM/IEEE Design Automation Conference (DAC), San Francisco, USA, July 2020. [CrossRef]
- Martins, R. Closing the Gap Between Electrical and Physical Design Steps with an Analog IC Placement Optimizer Enhanced with Machine-Learning-Based Post-Layout Performance Regressors. Electronics 2024, 13, 22, 4360.
- Almeida, C.; Oliveira, M.; Martins, R. On the Exploration of Convolutional Variational Autoencoders for Analog Integrated Circuit Post-Placement Performance Regression. International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Istanbul, Turkey, July 2025. (in press).
- Golzan, M.; Nasiri, H.; Ngatched, T.; Popuri, K.; Zhang, L. Advanced Performance Estimation of Analog Layouts Using Convolutional Neural Networks. IEEE 20th International Conference on Intelligent Computer Communication and Processing (ICCP), Cluj-Napoca, Romania, Oct. 2024. [CrossRef]
- Chan, W.-T.; Ho, P.-H-; Kahng, A.; Saxena, P. Routability optimization for industrial designs at sub-14nm process nodes using machine learning. Proceedings of the International Symposium on Physical Design, New York, USA, March. 2017.
- Tabrizi, A. et al. A machine learning framework to identify detailed routing short violations from a placed netlist. 55th ACM/ESDA/IEEE Design Automation Conference (DAC), San Francisco, USA, June 2018. [CrossRef]
- Yu, T.-C. et al. Pin accessibility prediction and optimization with deep learning-based pin pattern recognition. 56th ACM/IEEE Design Automation Conference, Las Vegas, USA, June 2019.
- Yu, T.C. et al. Lookahead placement optimization with cell library-based pin accessibility prediction via active learning. Proceedings of the International Symposium on Physical Design, New York, USA, Sept. 2020. [CrossRef]
- Liang, R. et al. DRC hotspot prediction at sub-10nm process nodes using customized convolutional network. Proceedings of the International Symposium on Physical Design, New York, USA, 2020. [CrossRef]
- Gandhi, U.; Bustany, I.; Swartz, W.; Behjat, L. A reinforcement learning-based framework for solving physical design routing problem in the absence of large test sets. ACM/IEEE Workshop on Machine Learning for CAD, Canmore, Canada, Sept. 2019. [CrossRef]




| Tool | Year | Key Specification | Tech. | Base Code |
|---|---|---|---|---|
| He [27] | 2009 | ANN’s neurons used a discrete space where devices can be placed | n/d | Java |
| Guerra [28] | 2019 | ANN used for knowledge mining of legacy floorplans | 130nm | Python |
| Ahmadi [34] | 2021 | RL approach for placement on advanced FinFET technologies | 18nm | Python |
| WellGAN [33] | 2022 | Exploration of GANs for well-aware guided placement | 40nm | C++ & Python |
| DeepPlacer [30] | 2022 | ANN evaluated by a topological constraint satisfaction loss function | 65-350nm | Python |
| Graph2Seq [31] | 2022 | Graph-structured input in a scalable attention-based encoder-decoder model | 65-350nm | Python |
| Basso [35] | 2024 | RL performs the moves of the underlying SP topological representation | n/s | Python |
| Sadrafshari [38] | 2024 | RL performs the moves of the underlying B*-tree representation | 10nm | Python |
| Basso [36] | 2025 | R-GCN used as an encoder of the circuit, device and geometric constraints | n/s | Python |
| Tool | Year | Key Specification | Tech. | Base Code |
|---|---|---|---|---|
| GeniusRoute [57] | 2019 | Routing probability maps generated by a VAE, and used to guide a path-finding algorithm | 40nm | Python & C++ |
| REINFORCE [60,61] | 2020 | RL that solves the track-assignment problem | 16nm | Python |
| DPRoute [63] | 2023 | RL that solves the net ordering problem | n/s | Python |
| Chen [62] | 2023 | RL-guided rip-up and rerouting scheme | FinFET | Python & C++ |
| Peneda [59] | 2024 | Routing probability maps generated by a CNN, trained on large amounts of synthetically generated data | 65nm | Python |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2025 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).
